182

"3D-IC 환경에서 XOR 네트워크를 활용한 효과적인 저전력 스캔 테스트 방법"
이용, 서성열, 임현열, 강우헌, 강성호
제15회 한국 테스트 학술대회
, 2014년 6월

181

"A Test Access Mechanism for Parallel Test of On-Chip Embedded Instruments"
최인혁, 한태우, 오형교, 강성호
제15회 한국 테스트 학술대회
, 2014년 6월

180

"병렬비교 고속 I/O 테스트 기법 개발"
장재원, 손현욱, 김희태, 강성호
제15회 한국 테스트 학술대회
, 2014년 6월

179

"A parallel Test Access Strategy for Multiple Identical Cores in Network-on-Chip"
한태우, 최인혁, 오형교, 강성호
제15회 한국 테스트 학술대회
, 2014년 6월

178

"스테이지 고장검출을 통한 파이프라인 ADC 테스트 기법"
손현욱, 장재원, 김희태, 강성호
제15회 한국 테스트 학술대회
, 2014년 6월

177

"온도 예측을 통한 3차원 구조 DRAM의 refresh 전력관리 기법"
임재일, 강성호
제15회 한국 테스트 학술대회
, 2014년 6월

176

"A spare Pivot Re-setting BIRA Algorithm with Optimized Hardware Overhead"
조기원, 이우성, 강성호
제15회 한국 테스트 학술대회
, 2014년 6월

175

"스트림 프리페치에서의 프리페치 정확도를 높이기 위한 프리페치 매개변수 조절"
우수해, 박기현, 강성호
2014년도 SOC학술대회
, 2014년 5월

174

"3D IC를 위한 저전력 테스트 패턴 재배열"
임현열, 강우헌, 이용, 서성열, 강성호
2014년도 SOC학술대회
, 2014년 5월


<<이전페이지 [11][12][13][14][15][16][17][18][19][20] 다음 페이지>>